Cleanroom 2

Denton Sputter – Discovery 18
Deposition of metals an​​d dielectric film (eg. Au, Ti, Al, Pt, Sn, Ni, Cu, ​Si, ITO, SiO2, IGZO). Consist of two cathodes for RF and DC sputt​ering.

A sputtering system which is equipped with two cathodes-one 600W RF and one 600W DC for deposition of metals and dielectrics. The chamber is pumped by a turbo pump. Single or co-sputter is possible.

Material Sputtered: Au, Ti, Al, Pt, Sn, Ni, Cu, Si, ITO, SiO2, IGZO​
Small pieces up to 6" in diameter
Within 5%​       
Elite Sputter
Deposition of metals film (eg. Au, Ti, Al, Pt, Sn, Ni, Cu, Si). Consist of three cathodes for 1 RF and 2 DC sputtering.

A locally designed sputtering system which is equipped with three cathodes-one 600W RF and two 1500W DC. The chamber is pumped by a turbo pump. Single or co-sputter is possible.

Material Sputtered: Au, Ti, Al, Pt, Sn, Ni, Cu, Si
Small pieces up to 6" in diameter.
Within 5% 
Edwards Auto306 E-Beam Evaporator #1
Deposition of metals film (eg. Au, Ti, Al, Pd, Sn, Ni, Cu, Ge)

The Auto306 is a compact, versatile vacuum coater used for deposition of metals. It comprises of a 3kW E-Beam gun, a 4-pocket turret which enables users to insert 4 x 4cc crucibles of different target materials.

Remarks: Deposition of Fe is not allowed.​

Material Evaporated: Au, Ti, Al, Pd, Sn, Ni, Cu, Ge
Small pieces up to 2" in diameter.
Within 5%
Edwards Auto306 E-Beam Evaporator #2
Deposition of metals film (eg. Au, Ti, Al, Pd, Sn, Ni, Cu, Ge)

The Auto306 is a compact, versatile vacuum coater used for deposition of metals. It comprises of a 3kW E-Beam gun, a 4-pocket turret which enables users to insert 4 x 4cc crucibles of different target materials.

Remarks: Deposition of Fe is not allowed.​ 

Material Evaporated: Au, Ti, Al, Pd, Sn, Ni, Cu, Ge
Small pieces up to 2" in diameter
Within 5%
HHV Auto306 E-Beam Evaporator #3
Deposition of metals film (eg. Ti. Au, Ge and Ni)

The Auto306 is a compact, versatile vacuum coater used for deposition of metals. It comprises of a 3kW E-Beam gun, a 4-pocket turret which enables users to insert 4 x 4cc crucibles of different target materials.

Remarks: Deposition of Fe is not allowed. 

Material Evaporated: Ti. Au, Ge and Ni only.
Small pieces up to 2" in diameter.
Within 5%
Aixtron MOCVD

This system is used for InP & GaAs-based heterostructure growth. The source materials are transported by way of a carrier gas and gradually supplied to the reactor.

MO Source Available: TBAs, TBP, TmSb, CBR4, DEZn, TMAl, TMGa, TMIn.
Material Growth: GaN, InP/GaAs, ZnO and II-VI materials
Small pieces up to 2" in diameter.
Within 5%
PlasmaTherm PTi790 PECVD
Deposition and etching of Silicon Nitride (SiN) and Silicon Dioxide (SiO2) films.

A dual chamber system capable of deposition and etching of 6“or smaller wafers. The right chamber is for Plasma Enhanced Chemical Vapor Deposition (PECVD) while the left chamber is for Reactive Ion Etching (RIE).

Material Deposition/Etching.: Dedicated for SiN and SiO2

Gases available: SiH4, NH3, N2O, O2, CF4 and N2

Small pieces up to 6" in diameter.


Within 5% 
Advanced Vacuum HDP CVD/ICP
Deposition of Silicon Nitride and Silicon Dioxide films

A single chamber system capable of deposition and etching of 6“or smaller wafers. It is equipped with a loadlock with robot handling for transfer of wafer.

Material Deposition/Etching.: Dedicated for SiN and SiO2.

Gases available: SiH4, SF6, CHF3, C4F8, Ar, O2, CF4 and N2
Small pieces up to 6" in diameter
Within 5% 
SEMCO LPCVD
Deposition of SiGe and nanowire growth.

This system is designed for nanowire growths for Si Nanowire and GaN Nanowire.

Maximum Temperature: Up to 850⁰C
Small pieces up to 2" in diameter​ 
JIPELEC-Jetstar 100B RTP
High tem​​perature (up to 850 oC) Rapid Thermal Annealing.

A Rapid Thermal Processing (RTP) system consisting of a single high temperature rapid annealing chamber.

Annealing Temperature: Up to 850⁰C
     

Small pieces up to 4" in diameter​    
AST CRIE-200 Reactive Ion Etcher
Etching of GaN, InP and GaAs.

CRIE-200 plasma etching system is designed for III-V compound semiconductor etching. The system comes with a loadlock and is connected to Cl2, BCl3, CH4 and O2.

Material Etched: GaN, InP, GaAs
 

Small pieces up to 6" in diameter.
Within 3%​         
AST CLEN-100 Descum
O2 Plasma for bulk removal of photoresist.

CLEN-100 Plasma stripping system for tough jobs as well gentler applications such as descumming and removing organics.

Material Stripped: Bulk photoresist.
Small pieces up to 4" in diameter 
SAMCO UV Ozone Dry Stripper
UV radiation, ozone and heat to gently remove organic materials for a variety of substrates. Suited for substrate cleaning, photoresist descum and UV curi.

UV radiation, ozone and heat to gently remove organic materials for a variety of substrates.

Small pieces up to 6" in diameter. 
Vistec E-Beam Lithography
High current density Thermal field Emission gun for operation at 20, 50, 100kV. With a minimum feature size of less than 8nm. Rapid exposure with 50 or 100MHz pattern generator. GUI for ease of use operation for diverse “multi user environment” Batch production. Eg Compound semiconductor devices.

The Vistec EBPG5200 is a high performance nanolithography system with full 200mm writing capability. This Electron Beam Lithography system offers a wider range of solutions for both direct write nano-lithography and R&D mask making.


Acceleration voltage: 20, 50, 100 kV (TFE Source)
Main Field Beam deflection: 20 bit DAC
Field size: 168 μm x 168 μm to 1 mm x 1 mm (at 20, 50, 100 kV) 
Min. theoretical spot size: 2.2 nm 
Stage Travel range: 210 mm ×210 mm 
Writing Mode: Step & Repeat 
Automation: 10 position airlock 
Thermal stability: < 50 nm / hr (open loop) 
Footprint: < 20 m² 
Minimum feature size: < 8.0 nm 
Stitching and overlay accuracy: < ±12 nm​        
Karl Suss MJB 4 Mask Aligner #1 & #2
UV exposure of photoresist. Resolution up to 0.6um. UV range 280nm-350nm.

The MJB4 is equipped with a 350W mercury lamp providing UV Exposure wavelengths of 350–450nm. The machine is equipped with High Precision Alignment stage and microscope manipulator.

Resolution: Up to 0.5micron
Substrate size: Up to 4” Diameter
Mask: 5”
UV Range: 405nm, 320nm

YES HMDS Priming Oven
Priming of HMDS prior to photoresist coating
Priming of HMDS prior to photoresist coating.

Small pieces up to 8" in diameter.​ 
Solvent Wet Bench
Solvent Bench is used for preparation of pre and post lithography process.

Bench 1 -  Comes with ultrasonic function

Bench 2 - Comes with hot plate function (limit top 2 hours)

small piece of wafer.
Acid Bench
Wet etching and cleaning with DI water rinse.

Wet etching and acid cleaning with DI water rinsing.

Small pieces up to 6" in diameter.​ 
Dynasafe Fumehood
Wet etching and cleaning.

Wet etching and acid cleaning with DI water rinsing.
       

Small pieces up to 2" in diameter.         
Apreo-S FESEM
Fi​eld Emission Scanning Electron Microscope (FESEM). Wafer/sample size ranges from small sample up to 8” round wafer.

A Field Emission Scanning Electron Microscope (FESEM) system

From small sample up to 8” round Si wafer
Bruker Dimension Edge Atomic Force Microscope
Surface rou​g​hness measurement.​​

The Dimension Edge Atomic Force Microscope (AFM) incorporates Bruker’s PeakForce Tapping technology. The system has an X-Y Scan range of 100 μm x 100 μm. This unit is provided with Contact Mode and Tapping Mode AFM. The raw data is captured by the independent Nano Drive software and the images are post processed using NanoScope Analysis software.
              

Small pieces up to 6" in diameter.    
J.A.Woolam Spectroscopic Ellipsometer

A variable angle spectroscopic ellipsometer for the measurement of thickness, refractive index(n) and absorption index(k) of thin film.

A variable angle spectroscopic ellipsometer for the measurement of thickness, refractive index(n) and absorption index(k) of thin film.

Small pieces up to 6" in diameter.
X’Pert3 MRD (XL) X-Ray Diffractometer
XRD measurement of film up to 6" wafer.

The X'Pert³ MRD XL meets all the high-resolution XRD analysis requirements of the semiconductors, thin films, and advanced materials industries. Complete wafer mapping up to 200 mm is possible. The X’Pert3 version comes with longest lifetime of incident beam components (CRISP) and maximum uptime with pneumatic shutters and beam attenuators.

Small pieces up to 6" in diameter.​