Cleanroom 1

Evatec Clusterline CLC200 Sputterer
PVD sputter deposit metal film including Ti, TiN, Al and Cu. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system is metal compatible.
A cluster Metal DC Sputtering system with 2 process chambers with cryo pumps, 1 soft etch chamber, 1 loadlock chamber, 1 cool chamber & 1 transfer chamber equipped with robot handling tool​.

Remarks: Metal compatible 
 
Ti, TiN, Al, Cu & Ge


From small sample up to 8” round Si wafer

Within 3%
Cello Ohmiker-60BL e-beam evaporator
PVD e-beam evaporate deposit metal film including Ti, Au, Ag, Cu, Pt, Ni, Sn and etc. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system is metal compatible.​​
An Ebeam Metal Evaporation system with a single chamber.
 
Remarks: Metal compatible​                
Ti, Au, Ag, Cu, Pt, Ni, Sn and etc
 

From small sample up to 8” round Si wafer
 

Within 5%.
Cambridge Nanotech ALD
Atomic layer deposit (ALD) metal oxide film including Al2O3, HfO2 and ZrO2. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system is metal compatible.
An Atomic Layer Deposition (ALD) system with a single chamber producing conformal film deposition.
 
Remarks: Metal compatible                
Metal oxide film including Al2O3, TiO2, HfO2 and ZrO2 

 
From small sample up to 8” round Si wafer

Within 5%
P5000 Applied Materials PECVD
CVD deposit TEOS-based silicon oxide film (PETEOS) and surface plasma activation. Wafer/sample size ranges from small sample up to 6” round Si wafer. The system does NOT allow any photoresist samples.
A PECVD Film Deposition system consisting of 1 TEOS-based silicon oxide film (PETEOS) processing chamber, 1 sputter etch chamber used for Surface Plasma Activation (Very hydrophilic surface) and 1 transfer chamber equipped with robot handling tool
 
Remarks: No Photoresist Sample                
TEOS SiO2. (Temperature: 300oC or 400oC)
 


From small sample up to 6” round Si wafer
 

Within 2%.

Cello Aegis-20 PECVD
CVD deposit dielectric film including silicon oxide, silicon nitride and undoped amorphous silicon. Wafer/sample size ranges from small sample up to 6” round Si wafer. The system does NOT allow any photoresist samples.
A PECVD Film Deposition system consisting of 1 Silane-based CVD film processing chamber and 1 loadlock chamber equipped with robot handling tool.

Remarks: No Photoresist Sample​                
 
SiO2, SiN & Undoped amorphous Si (Temperature: 200oC or 300oC)
 
From small sample up to 6” round Si wafer

 
 
Within 3%
SEMCO PECVD
CVD deposit dielectric film including silicon oxide, silicon nitride and undoped amorphous silicon. Wafer/sample sizes are restricted to 6” and 8" round Si wafer. The system does NOT allow any photoresist samples and metal contaminated samples.
A PECVD Film Deposition system consisting of 1 Silane-based CVD film vertical furnace configuration chamber.
 
Remarks: Non-Metal compatible and No Photoresist Sample
 
SiO2, SiN & Undoped amorphous Si (Temperature: 380DegC)

 6” and 8” round Si wafer

Within 3%
Denton Explorer 18 Sputter System

The Denton Explorer 18 Sputter System is configured with three 3-inch cathodes and is equipped with two 600W RF generators and two 1200W DC power supplies. Designed for sputter down configuration, each cathode can be selected for RF or DC sputtering via software switching.

The front-loading chamber is pumped by a turbo pump. A 6” rotating stage is incorporated for better uniformity and can accommodate small samples and wafers up to 6" in diameter.

Ti, Pt, ITO, SiO2Ti, Pt, ITO, SiO2
Small pieces up to 6” diameter
Within 5%
AS-One RTP
High temperature rapid annealing. Wafer/sample size ranges from small sample up to 6” round Si wafer.

A Rapid Thermal Processing (RTP) system consisting of a single high temperature rapid annealing chamber.

Temperature to be annealed: Up to 1000oC.
From small sample up to 6” round Si wafer
SEMCO JIPELEC RTP
High temperature rapid annealing. Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer. The system does NOT allow any metal contaminated samples.

A Rapid Thermal Processing (RTP) system consisting of a single high temperature rapid annealing chamber.

Remarks: Non-Metal compatible​.               

Temperature to be annealed: Up to 1000oC.
4”, 6” and 8” round Si wafer
Varian EHP-220 Ion Implanter
Ion implantation (source) including Boron (BF2), Arsenic (ASH3), Phosphorous (PH3), Silicon (SiF4), Argon (Ar). Wafer/sample size ranges from small sample up to 8” round Si wafer.

An Ion Implantation system equipped with robot handling tool.

Available implant source: Boron (BF2), Arsenic (AsH3), Phosphorous (PH3), Silicon (SiF4), Argon (Ar).


From small sample up to 8” round Si wafer​
MRL Drive in Furnace A2, MRL Oxidation Furnace A3, MRL Oxidation Furnace A4

MRL Oxidation Furnace A3
For thin oxide growth. Wafers must be cleaned. Wafer sizes are only restricted to 4”and 6” round Si wafer. The system does NOT allow any metal contaminated samples.

MRL Oxidation Furnace A4
For wet oxide growth. Wafers must be cleaned. Wafer sizes are only restricted to 4”and 6” round Si wafer. The system does NOT allow any metal contaminated samples.

Description: A Horizontal Furnace system consisting of three horizontal racks used for drive-in and thermal oxide growth (A3 & A4)

Remarks: Non-Metal compatible 
Material to be growth: Thermal SiO2(Temperature: Up to 1000oC)


4” and 6” round Si wafer
MRL Oxidation Furnace B3, MRL Alloy Furnace B4
MRL Oxidation Furnace B3
For thermal oxidation process.

MRL Alloy Furnace B4

For thermal process with N2 or O2 or H2 gases. Maximum temperature up to 950°C. Wafer/sample size ranges from small sample up to 6” round Si wafer.

Remarks: Metal compatible (For B3 & B4 only​)

Horizontal Furnace system consisting of three horizontal racks used for thermal oxidation (B3) and forming gas furnace annealing (B4​).
Thermal SiO2(Temperature: Up to 950oC)
From small sample up to 6” round Si wafer.
Oxford PlasmaPro100 ICP-RIE
ICP RIE III-V material, silicon oxide. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system does NOT allow any metal contaminated samples.

An Inductive Coupled Plasma Reactive Ion Etching (ICP-RIE) system consisting of 1 etch chamber and 1 loadlock chamber equipped with robot handling tool.

Remarks: Non-Metal compatible​

III-V compound

From small sample up to 8” round Si wafer
Within 3%
Oxford Plasmalab80 RIE
RIE silicon oxide, silicon nitride. Wafer/sample size ranges from small sample up to 6” round Si wafer.​​

A Reactive Ion Etching (RIE) system consisting of 1 etch chamber and 1 glovebox enclosure.

Remarks: Metal compatible​

Dielectric including SiN & SiO2
From small sample up to 6” round Si wafer
Within 3%
Oxford Estrelas Deep Si-RIE
RIE silicon up to few hundred microns depth with straight (90o) sidewall. RIE Germanium layer. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system does NOT allow any metal contaminated samples.

A Deep Silicon Reactive Ion Etching (DSRIE) system (BOSCH process) consisting of 1 etch chamber and 1 loadlock chamber equipped with robot handling tool.

Remarks: Non-Metal compatible​

Si, Ge
From small sample up to 8” round Si wafer
Etch Uniformity: Within 3%
Sidewall angle: 90±1o
Scallop size: <30nm
AV RIE
RIE silicon oxide, silicon nitride. Wafer/sample size ranges from small sample up to 8” round Si wafer.The system does NOT allow any metal contaminated samples.

A Reactive Ion Etching (RIE) system consisting of 1 etch chamber.

Remarks: Non-Metal compatible​

Material to be etched: Dielectric including SiN & SiO2
From small sample up to 8” round Si wafer.
Within 4%
Tepla O2 Plasma Asher
O2 plasma to remove bulk photoresist. Wafer/sample size ranges from small sample up to 6” round Si wafer.

An Oxygen Plasma Photoresist Stripper system consisting of 1 chamber to remove bulk photoresist using O2 microwave plasma without causing damage to the surface.

Remarks: Metal compatible​
Material to be stripped: Bulk Photoresist
From small sample up to 6” round Si wafer
UVO system
Ultra Violet (UV) rays-Ozone (O3) surface cleaning. Wafer/sample size ranges from small sample up to 6” round Si wafer.

A Ultra-Violet Ozone (UVO) Cleaner system consisting of 1 compartment for the surface cleaning using UV rays & Ozone without causing damage to the surface.

Remarks: Metal compatible

From small sample up to 6” round Si wafer
DWL-200 Mask Writer
Laser mask writing. Mask sizes are restricted to 3”, 5” & 7” soda lime mask.

A Mask writer system is used for writing mask with laser.

3”, 5” & 7” soda lime mask​
SussMicroTec_Developer_jpg
Suss Microtec Developer
Developing of photoresist (PR). Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer. ​

A Spin Coater system is used for photoresist coating purpose.

4”, 6” and 8” round Si wafer
Suss MicroTec PR Coater
Coating of photoresist (PR). Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer.

A PR Developer system is used for photoresist development purpose.

4” and 6” round Si wafer​
Suss MircoTec MABA8Gen4 Mask Aligner System

LED Lamp for exposure of photoresist (PR). Wafer sizes are only restricted to 2x2cm square sample, 4”, 6” and 8” round Si wafer.

Mask Aligner system is used for photoresist pattern alignment with LED exposure purpose.

2x2cm square sample, 4”, 6” and 8” round Si wafer
Suss Microtec MA6 Double Side Aligner
UV exposure of photoresist (PR). Wafer sizes are only restricted to 4” and 6” round Si wafer.

A Mask Aligner system is used for photoresist pattern alignment with UV exposure purpose.

4” and 6” round Si wafer​
YES HMDS Priming Oven
For HMDS priming before PR coating. Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer.

A Priming Oven system is used for HMDS priming before PR coating.

4”, 6” and 8” round Si wafer​
CDE ResMap Rs four point probe measurement

An automatic Rs (sheet resistivity) mapping system. Wafer size ranges from 4” up to 8” round Si wafer.

An Automatic Rs (sheet resistivity) mapping system is used for the film sheet resistivity measurement using four point probe.



From 4” to 8” round Si wafer
Bruker Dektak XT Step Profiler
An advance surface texture measurement system which accurately measure surface texture below submicro-inch and film thickness to 1mm. Measurements are made electromechanically by moving the sample beneath a diamond-tipped stylus. Wafer/sample size ranges from small sample up to 8” round Si wafer.

It is used for Step height measurement for film profile.

From small sample up to 8” round Si wafer.
Filmetrics Film Thickness Measurement
Film thickness measurement system

Ordinary light. Wavelength 400-1000nm, Beam size 6mm
       

from small sample up to 8” wafer

Keyence VHX-7000 Digital Microscope

Digital Microscope equipped free-angle stand motorized Z&XY stage and 20X to 6000X magnification high-resolution lens.

Digital Microscope equipped free-angle stand motorized Z&XY stage and 20X to 6000X magnification high-resolution lens. Fully auto control system. Multi lighting and observation functions.



From small sample up to 8” round Si wafer.
Verteq Megasonic Cleaner
Deionized (DI) water megasonic cleaning. Wafer sizes are only restricted to 4” and 8” round Si wafer.

A Megasonic Cleaner system consisting of 2 compartments including megasonic and quick dump rinse (QDR) for the surface cleaning.

4” and 6” round Si wafer​.
Orbis Chemical Mechanical Polishing (CMP)
Chemical mechanical polishing silicon oxide (SiO2). Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer.​

A CMP system consisting of 2 rotating platens (catered for 4”, 6” and 8” wafer substrate).

Remarks: Metal compatible​


Material to be polished: SiO2
4”, 6” and 8” round Si wafer​
Apet IPA Dryer
IPA drying wafer. Wafer sizes are only restricted to 4” and 6” round Si wafer. The system does NOT allow any metal contaminated samples.

An IPA Dryer system is used for wafer drying purpose.

Remarks: Non-Metal compatible

4” and 6” round Si wafer
Verteq SRD-MK2
Spin rinse drying wafer. Wafer sizes are only restricted to 4” and 6” round Si wafer.

A Spin Rinse Dryer (SRD) system is used for wafer drying purpose.

4” and 6” round Si wafer
GTX WB-MK-1 Wet Bench (BOE)
For the removal (etching) of Silicon Dioxide. Wafer sizes are only restricted to 4” and 6” round Si wafer. The system does NOT allow any metal contaminated samples.

A Wet Bench system is used for the removal (wet etching) of Silicon Dioxide purpose.

Remarks: Non-Metal compatible

4” and 6” round Si wafer.
GTX WB-MK-2 Wet Bench (HF)
For diluted HF process with a small piece of wafe​r. 

A Wet Bench system is used for the removal (wet etching) of Silicon Dioxide on small piece of wafer with diluted HF purpose.

Small piece of wafer​
GTX WB-PC-1 Wet Bench
The WB-PC-1 wet bench is used for Piranha cleaning Wafer sizes are only restricted to 4” and 6” round Si wafer. The system does NOT allow any metal contaminated samples.

A Wet Bench system is used for the Piranha cleaning purpose.

Remarks: Non-Metal compatible​

4” and 6” round Si wafer
ADT 7120 Dicing Saw
Dice the wafer into small dies. Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer.

A wafer dicing system is used to cut the wafer into small dies.

4”, 6” and 8” round Si wafer
K&S Wire Bonder Model 4524
Gold Wire bonding for dies. Only restricted to small sample dies.

A wire bonder system is using Gold Wire bonding for dies.

Small sample dies​